"use strict"; Object.defineProperty(exports, "__esModule", { value: true }); exports.default = range; function range(size) { var result = Array(size); while (size--) { result[size] = size; } return result; } module.exports = exports.default;